Merge remote-tracking branch 'origin/main' into main

This commit is contained in:
Matt McWilliams 2022-12-20 13:19:35 -05:00
commit e687c5386e
2 changed files with 2953 additions and 2953 deletions

View File

@ -1211,12 +1211,12 @@ module bolt_guide () {
} }
module case_standoff_washer () { module case_standoff_washer () {
H = 9; H = 10;
$fn = 40; $fn = 40;
difference () { difference () {
union() { union() {
cylinder(r = 16 / 2, h = H / 2, center = true); cylinder(r = 16 / 2, h = (1 * H) / 4, center = true);
translate([0, 0, -H / 2]) cylinder(r = 8 / 2, h = H / 2, center = true); translate([0, 0, -H / 2]) cylinder(r = 8 / 2, h = (3 * H) / 4, center = true);
} }
cylinder(r = 4 / 2, h = H * 2, center = true); cylinder(r = 4 / 2, h = H * 2, center = true);
} }

File diff suppressed because it is too large Load Diff