cases work

This commit is contained in:
mmcwilliams 2020-07-07 11:54:20 -04:00
parent d042381293
commit b702929e1f
2 changed files with 58 additions and 1 deletions

View File

@ -1,3 +1,5 @@
PART = "";
MOUNT_DIST = 28 - .5;
MOUNT_ANGLE = 125;
MOUNT_W = 12;
@ -258,10 +260,34 @@ module sizing() {
}
}
module nano_mount () {
X = 17.6;
Y = 43.3;
Z = 5;
difference () {
cube([X + 6, Y + 6, Z + 3], center = true);
//center void
cube([X, Y, Z + 4], center = true);
//usb
translate([0, (Y / 2) + 1.5, Z / 2]) {
cube([7.5, 4, 3], center = true);
}
}
translate([(X / 2) - 1, (Y / 2) - 1, -1.5]) cube([3, 3, Z], center = true);
translate([-(X / 2) + 1, (Y / 2) - 1, -1.5]) cube([3, 3, Z], center = true);
translate([(X / 2) - 1, -(Y / 2) + 1, -1.5]) cube([3, 3, Z], center = true);
translate([-(X / 2) + 1, -(Y / 2) + 1, -1.5]) cube([3, 3, Z], center = true);
}
if (PART == "") {
nano_mount();
}
//sizing();
//l289N_mount();
translate([-one_to_one_x, -one_to_one_y, -27]) motor_key_120();
//translate([-one_to_one_x, -one_to_one_y, -27]) //motor_key_120();
//geared_motor_mount_120();
difference () {

31
scad/dc_plug_cheat.scad Normal file
View File

@ -0,0 +1,31 @@
module top () {
$fn = 120;
ID = 11.5;
VOID = 15;
OD = 19;
H = 11;
difference () {
cylinder(r = OD / 2, h = H, center = true);
cylinder(r = ID / 2, h = H + 1, center = true);
translate([0, 0, -2]) cylinder(r = VOID / 2, h = H, center = true);
}
}
module bottom () {
$fn = 120;
difference () {
cylinder(r2 = 19 / 2, r1 = 10 / 2, h = 15, center = true);
cylinder(r2 = 14.9 / 2, r1 = 6 / 2, h = 15.1, center = true);
}
translate([0, 0, 6.5]) difference () {
cylinder(r = 14.9 / 2, h = 4, center = true);
cylinder(r = 13 / 2, h = 4 + 1, center = true);
}
}
//top();
translate([0, 0, -19]) difference () {
bottom();
//translate([0, 20, 0]) cube([40, 40, 40], center = true);
}